Ja nieśmiało zaproponuję gotowca cena około 70pln, znalazłem coś takiego
"Sterownik silników z BTS7970 mostek H 43A"
Znaleziono 24 wyniki
- niedziela 10 lip 2016, 12:56
- Forum: Podstawy elektroniki - teoria i praktyka
- Temat: Mostek H
- Odpowiedzi: 4
- Odsłony: 5489
- wtorek 05 lip 2016, 20:59
- Forum: Jak to działa – czyli kącik testera
- Temat: STARTUJEMY z "Jak to działa – czyli kącik testera"
- Odpowiedzi: 84
- Odsłony: 81003
Re: STARTUJEMY z "Jak to działa – czyli kącik testera"
Czy mogę zapisać się jeszcze zapisać na:
6-GY-GPS6MV2 - moduł GPS z układem U-blox NEO-6M.
Fajnie by było złożyć w całość X3-DIL64 + MPU6050 (oba posiadam) + OLED'A(właśnie testuję)
Z góry dziękuję i pozdrawiam.
6-GY-GPS6MV2 - moduł GPS z układem U-blox NEO-6M.
Fajnie by było złożyć w całość X3-DIL64 + MPU6050 (oba posiadam) + OLED'A(właśnie testuję)
Z góry dziękuję i pozdrawiam.
- poniedziałek 04 lip 2016, 20:13
- Forum: Hyde Park
- Temat: I3TO - konkurs
- Odpowiedzi: 2
- Odsłony: 4235
Re: I3TO - konkurs
Może Dron na MAXimatorze ?
Gdzie jeśli nie tu?
Gdzie jeśli nie tu?
- czwartek 23 cze 2016, 18:27
- Forum: Jak to działa – czyli kącik testera
- Temat: STARTUJEMY z "Jak to działa – czyli kącik testera"
- Odpowiedzi: 84
- Odsłony: 81003
Re: STARTUJEMY z "Jak to działa – czyli kącik testera"
Chętnie spróbował bym połączyć to do mojego Maximatora:
4. Multiwii OLED Display Module I2C 128x64 Dot ( MWC )
7. KA-Nucleo-Weather - ekspander (shield)
4. Multiwii OLED Display Module I2C 128x64 Dot ( MWC )
7. KA-Nucleo-Weather - ekspander (shield)
- czwartek 26 maja 2016, 11:20
- Forum: FPGA - ogólnie
- Temat: [FPGA] Tips & Tricks
- Odpowiedzi: 3
- Odsłony: 8514
Re: [FPGA] Tips & Tricks
"Hyper Terminal" Mój pierwszy "IP_core" ;) Jest to zaledwie szkic wersja alfa automatu wysyłającego znaki i komendy do wyświetlacza. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity FutabaM202 is -- interfejs I/O port( clear : in std_logic; -- output : ...
- poniedziałek 23 maja 2016, 19:33
- Forum: FPGA - MAXimator
- Temat: Pierwsz próba uzycia zestawu MAXImator
- Odpowiedzi: 11
- Odsłony: 13947
Re: Pierwsz próba uzycia zestawu MAXImator
Ładnie, a wiesz jak przekroczyć granicę 1us?
- poniedziałek 23 maja 2016, 19:01
- Forum: FPGA - MAXimator
- Temat: [FPGA] MAXimator, test VGA 800x600@60Hz
- Odpowiedzi: 2
- Odsłony: 6996
Re: [FPGA] MAXimator, test VGA 800x600@60Hz
Przewertowałem większą połowę roczników EP
szukając inspiracji, drogi na skróty itp.
Oto jedna z perełek VGA44780
Jest tego jeszcze trochę do przerobienia. Niema co czekać na kolejne odcinki kursu do roboty!
Oto jedna z perełek VGA44780
Jest tego jeszcze trochę do przerobienia. Niema co czekać na kolejne odcinki kursu do roboty!
- sobota 21 maja 2016, 14:13
- Forum: FPGA - MAXimator
- Temat: Pierwsz próba uzycia zestawu MAXImator
- Odpowiedzi: 11
- Odsłony: 13947
Re: Pierwsz próba uzycia zestawu MAXImator
Brawo Ty, witaj w klubie.
Tylko uwaga!!! niektóre egzemplarze MAXimatora zakrzywiają czaso-przestrzeń (mój tak ma).
Siadasz do niego jest jasno nagle wstajesz z krzesła i jest druga w nocy a paczka fajek gdzieś zniknęła.
Tylko uwaga!!! niektóre egzemplarze MAXimatora zakrzywiają czaso-przestrzeń (mój tak ma).
Siadasz do niego jest jasno nagle wstajesz z krzesła i jest druga w nocy a paczka fajek gdzieś zniknęła.
- sobota 21 maja 2016, 01:56
- Forum: FPGA - MAXimator
- Temat: Pierwsz próba uzycia zestawu MAXImator
- Odpowiedzi: 11
- Odsłony: 13947
Re: Pierwsz próba uzycia zestawu MAXImator
jest już późno więc będę się streszczał1:
Drogi Wojtku może diody mrugają tak szybko iż wydaje się że świecą - sprawdziłeś to?
Drogi Wojtku może diody mrugają tak szybko iż wydaje się że świecą - sprawdziłeś to?
- środa 18 maja 2016, 01:39
- Forum: FPGA - MAXimator
- Temat: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
- Odpowiedzi: 5
- Odsłony: 10833
Re: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
Wieczór.
Już wiem jak zmieniać kanał ADC
. Przy okazji wklejam swoje spojrzenie na ten projekt.
Mam nadzieje iż pomoże takim nowicjuszom jak ja.
Proszę o konstruktywną krytykę projekt jest zaledwie szkicem i mi pomógł zrozumieć pewne kwestie.
Pozdrawiam.
Już wiem jak zmieniać kanał ADC
Mam nadzieje iż pomoże takim nowicjuszom jak ja.
Proszę o konstruktywną krytykę projekt jest zaledwie szkicem i mi pomógł zrozumieć pewne kwestie.
Pozdrawiam.
- środa 18 maja 2016, 00:02
- Forum: Hyde Park
- Temat: Microchip świętuje 20-lecie :) promocje 20%
- Odpowiedzi: 1
- Odsłony: 3584
Re: Microchip świętuje 20-lecie :) promocje 20%
A na Atmela też? Może by dali 10% 
- wtorek 17 maja 2016, 01:21
- Forum: FPGA - MAXimator
- Temat: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
- Odpowiedzi: 5
- Odsłony: 10833
Re: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
A jak zmienić aby można było temperaturę odczytać?
Dzięki za odpowiedź.
Dzięki za odpowiedź.
- piątek 13 maja 2016, 23:35
- Forum: FPGA - MAXimator
- Temat: MAXimator (FPGA)
- Odpowiedzi: 34
- Odsłony: 38631
Re: MAXimator (FPGA)
Sonda jest do bani. Dlaczego? ... Bo jak ktoś posiada dwa(np. JA) to może oddać tylko jeden głos niesprawiedliwe prawda? :) Gorzej jeszcze się czują Ci którzy chcą zakupić więcej(np. JA) no co? mają zakładać konta żeby oddawać głosy - bez sensu !!!! Proszę o zmianę tej chorej sytuacji !!!! z poważan...
- czwartek 12 maja 2016, 23:56
- Forum: Inne języki programowania
- Temat: [Labview] LabVIEW 2014 Home Bundle
- Odpowiedzi: 14
- Odsłony: 13301
Re: [Labview] LabVIEW 2014 Home Bundle
Że ujmę to kolokwialnie dla elektroników jest to narzędzie "cool" programiści zaś będą kręcić nosem ... może reset kompa pomoże :) Próbowałem czasami działa..... A poważnie. Podłączyłem: Arduino, Beckoff'a, Siemensa ..... etc. działa - ok. To w pracy a priv?. oki Maximator (Altera FPGA) ty...
- czwartek 12 maja 2016, 17:59
- Forum: Inne języki programowania
- Temat: [Labview] LabVIEW 2014 Home Bundle
- Odpowiedzi: 14
- Odsłony: 13301
Re: [Labview] LabVIEW 2014 Home Bundle
Jeśli różnicą jest tylko brak AppB. to tak ja dokładnie nie sprawdzałem miałem wersję full + wykupione biblioteki.
- czwartek 12 maja 2016, 08:28
- Forum: Inne języki programowania
- Temat: [Labview] LabVIEW 2014 Home Bundle
- Odpowiedzi: 14
- Odsłony: 13301
Re: [Labview] LabVIEW 2014 Home Bundle
Chyba jedynie do użytku własnego, kwestią jest do czego potrzebny Ci LV?
- czwartek 12 maja 2016, 01:20
- Forum: Inne języki programowania
- Temat: [Labview] LabVIEW 2014 Home Bundle
- Odpowiedzi: 14
- Odsłony: 13301
Re: [Labview] LabVIEW 2014 Home Bundle
Nikt nic nie wie na temat Application Builder?
W LabView narysowałem wszystko co trzeba, przy użyciu Application Builder'a do exe'a.
A exe'a na komputer klienta. Niby proste tylko narysowanie programu "trochę" zajęło
- środa 11 maja 2016, 23:40
- Forum: FPGA - MAXimator
- Temat: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
- Odpowiedzi: 5
- Odsłony: 10833
Re: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
Cześć po kompilacji w wersji 16.0.0 pojawił mi się błędy. Jako że to mój pierwszy projekt w FPGA (w ogóle) nie podam za dużo szczegółów. * Uruchomiłem Qsys'a * Coś pomajstrowałem przy PLL0...areset_conduit - za cieniki jestem żeby napisać co konkretnie no i działa, super. Dziękuję za udostępnienie, ...
- środa 11 maja 2016, 20:18
- Forum: Inne języki programowania
- Temat: [Labview] LabVIEW 2014 Home Bundle
- Odpowiedzi: 14
- Odsłony: 13301
Re: [Labview] LabVIEW 2014 Home Bundle
Ja popełniłem dwie apki i do klienta 
- środa 11 maja 2016, 18:44
- Forum: Podstawy elektroniki - teoria i praktyka
- Temat: Przetwornica buck do paneli fotovoltanicznych.
- Odpowiedzi: 9
- Odsłony: 8659
Re: Przetwornica buck do paneli fotovoltanicznych.
Pytanko:
To ma byc energo-oszczedna przetwornica, czy komputer zasilany ogniwami slonecznymi?
Jesli komuter no to pas. Pozostanie sledzic ten watek i trzymac kciuki.
Daj znac.
To ma byc energo-oszczedna przetwornica, czy komputer zasilany ogniwami slonecznymi?
Jesli komuter no to pas. Pozostanie sledzic ten watek i trzymac kciuki.
Daj znac.
- środa 11 maja 2016, 18:38
- Forum: Podstawy elektroniki - teoria i praktyka
- Temat: Przetwornica buck do paneli fotovoltanicznych.
- Odpowiedzi: 9
- Odsłony: 8659
Re: Przetwornica buck do paneli fotovoltanicznych.
Czekam a Mi sie Quartus zainstaluje na Maximatora :D ktorego nie smialem oferowac w tym watku (choc moglem :mrgreen: ) To zacytuje producenta z "Atmel-8331-8-and" : 16.2 Overview The advanced waveform extension (AWeX) provides extra functions to the timer/counter in waveform generation (WG...
- środa 11 maja 2016, 15:46
- Forum: Podstawy elektroniki - teoria i praktyka
- Temat: Przetwornica buck do paneli fotovoltanicznych.
- Odpowiedzi: 9
- Odsłony: 8659
Re: Przetwornica buck do paneli fotovoltanicznych.
Dokument jest mi znany Ale możliwości nowych AVR chyba nie do końca. Do prototypu proponuję jednak uparcie Xmege też załatwia martwe czasy i obsługę błędów PWM i dużo... dużo. https://kamami.pl/zestawy-avr/209537-x3-dil64-zestaw-z-avr-atxmega128.html może znajdziesz sponsora, wygrasz konkurs na for...
- środa 11 maja 2016, 00:01
- Forum: Podstawy elektroniki - teoria i praktyka
- Temat: Przetwornica buck do paneli fotovoltanicznych.
- Odpowiedzi: 9
- Odsłony: 8659
Re: Przetwornica buck do paneli fotovoltanicznych.
A może tak: http://www.atmel.com/Images/Atmel-42183-Design-a-Buck-Converter-with-XMEGA-E_AP-Note_AT04204.pdf Nie znam kosztu TL'i -od wieków ich nie używałem koszt przy prototypie nie powinien powalić. Możliwości testów i prototypowania chyba trochę większe... do tego wyświetlacz, jakaś parametryzac...
- wtorek 10 maja 2016, 23:18
- Forum: DIY
- Temat: sterownik NAWADNIAJĄCY
- Odpowiedzi: 49
- Odsłony: 46007
Re: sterownik NAWADNIAJĄCY
Tylko 3 szt. 100nF na taki projekt, ja bym troszkę dosypał np. przy DS'ie i BTM'ie.
No i może bezpiecznik, tak na wszelki wypadek.
Pozdrawiam.
P.s. Osobiście lubię jak masy są do dołu
No i może bezpiecznik, tak na wszelki wypadek.
Pozdrawiam.
P.s. Osobiście lubię jak masy są do dołu