[VHDL] ROM

Podstawy FPGA, a może nie tylko podstawy?
Awatar użytkownika
PROTON
Expert
Expert
Posty: 527
Rejestracja: czwartek 08 paź 2015, 18:35
Lokalizacja: Warszawa

[VHDL] ROM

Postautor: PROTON » sobota 19 mar 2016, 12:06

Ostatni na czacie padł problem tworzenia ROM'u wraz z zawartością w VHDL'u, znalazłem taki sposób. Tworzymy nowy typ np. RomType

Kod: Zaznacz cały


type RomType is array ( 0 to 19 ) of STD_LOGIC_VECTOR ( 7 downto 0 );



W pierwszym zakresie ( 0 to 19 ) deklarujemy ilość elementów macierzy, w drugim ( 7 downto 0 ) wielkość poszczególnych elementów.

Tworzymy i wypełniamy macierz tak:

Kod: Zaznacz cały


-- ilość elementów musi być taka jaką zadeklarowaliśmy.
constant ROM : RomType :=( "00000000", "00000001", "01010101", ... , "11111111" );



A do poszczególnych elementów odwołujemy się tak:

Kod: Zaznacz cały


signal Y: STD_LOGIC_VECTOR( 7 downto 0 );
signal addr : natural range 0 to 19 := 0;

...

Y <= ROM (addr);

Gott weiß ich will kein Engel sein.

Awatar użytkownika
dambo
Expert
Expert
Posty: 645
Rejestracja: czwartek 17 mar 2016, 17:12

Re: [VHDL] ROM

Postautor: dambo » sobota 19 mar 2016, 13:12

problem nie dotyczył stworzenia ROMu, tylko jakiegoś ładnego includowania jego zawartości z innego pliku zamiast wpisywania tego w pliku z architekturą :) jak coś odkryję to dam znać :)
Nowy blog o tematyce embedded -> https://www.embedownik.pl/

Awatar użytkownika
mokrowski
User
User
Posty: 190
Rejestracja: czwartek 08 paź 2015, 20:50
Lokalizacja: Tam gdzie Centymetro

Re: [VHDL] ROM

Postautor: mokrowski » sobota 19 mar 2016, 14:28

Moduł textio załatwia sprawę.
,,Myślenie nie jest łatwe, ale można się do niego przyzwyczaić" - Alan Alexander Milne: Kubuś Puchatek


Wróć do „FPGA - ogólnie”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 5 gości