MAXimator (FPGA)

MAXimatora – jak zacząć, sprzęt, oprogramowanie, pierwszy projekt, następne zaawansowane projekty, kursy itp.

Czy Posiadasz zestaw MAXimator

Czas głosowania minął sobota 30 kwie 2016, 14:07

Tak posiadam
18
44%
Nie, ale planuję kupić
5
12%
Nie, chwilowo nie planuję kupić/mam inny zestaw FPGA (podaj w temacie jaki)
6
15%
Nie, ten temat mnie zupełnie nie interesuje
12
29%
 
Liczba głosów: 41

adi
Posty: 20
Rejestracja: sobota 02 kwie 2016, 17:47

Re: MAXimator (FPGA)

Postautor: adi » sobota 09 kwie 2016, 20:52

Te na shieldzie są u mnie przewlekane, luty wyglądają ok - ale jeszcze obejrzę przez szkło powiększające za dnia. Myślę, że to sam przełącznik, bo żeby zadziałał licznik sterowany klawiszem "L", to muszę go pchnąć mocno w kierunku segmentu wyświetlacza. Nie działa na nacisk w kierunku płytki.

Na marginesie, znalazłem fajny zbiór przykładów na MAX10:

http://www.alterawiki.com/wiki/BeMicro_Max_10

SuperGość
Uber Geek
Uber Geek
Posty: 2346
Rejestracja: piątek 04 wrz 2015, 09:03

Re: MAXimator (FPGA)

Postautor: SuperGość » sobota 09 kwie 2016, 21:18

No na nakładce są przewlekane, wiec muszą być bardzo kiepskie ale mimo wszystko sprawdź lutowanie.
Dzięki za link z przykładami.

Awatar użytkownika
dambo
Expert
Expert
Posty: 645
Rejestracja: czwartek 17 mar 2016, 17:12

Re: MAXimator (FPGA)

Postautor: dambo » wtorek 12 kwie 2016, 21:33

A ja mam taką ogólną prośbę - mógłby ktoś mniej więcej opisać jakie dane ( i które są dla nas ważne ) możemy wyciągnąć z "raportu" z syntezy? Trochę już rozpracowałem, ale pewnie wiele rzeczy mi umknęło i innym też przydałaby się taka wiedza.
Nowy blog o tematyce embedded -> https://www.embedownik.pl/

adi
Posty: 20
Rejestracja: sobota 02 kwie 2016, 17:47

Re: MAXimator (FPGA)

Postautor: adi » piątek 15 kwie 2016, 21:57

Wygląda na to, że Ty zostaniesz specjalistą od tego tematu :)
Jakbyś znalazł coś na prawdę przydatnego, napisz proszę kilka słów o tym.

Pozdrawiam

PS. Zastanawiam się czemu na stronie projektu nie ma źródeł do demo fabrycznego. Ludzie robiący przykłady do HDMI też się czają. Ja rozumiem nakład pracy itp, ale sprzedać tego nie sprzedadzą a i tak na pewno wzorują się na przykładach do Spartana lub podobnych, a może jakieś bloki IP.

SuperGość
Uber Geek
Uber Geek
Posty: 2346
Rejestracja: piątek 04 wrz 2015, 09:03

Re: MAXimator (FPGA)

Postautor: SuperGość » sobota 07 maja 2016, 12:06

Powiększa się ilość przykładów na oficjalnej stronie MAXImatora http://maximator-fpga.org/examples/

WMKN2205
Posty: 24
Rejestracja: wtorek 10 maja 2016, 21:48

Re: MAXimator (FPGA)

Postautor: WMKN2205 » piątek 13 maja 2016, 23:35

Sonda jest do bani. Dlaczego? ...
Bo jak ktoś posiada dwa(np. JA) to może oddać tylko jeden głos niesprawiedliwe prawda? :)
Gorzej jeszcze się czują Ci którzy chcą zakupić więcej(np. JA) no co? mają zakładać konta żeby oddawać głosy - bez sensu !!!!
Proszę o zmianę tej chorej sytuacji !!!! z poważaniem Zatroskany :)

A poważnie brak możliwości oddawania głosów jakoś przeboleję, poniżej moje uwagi, prośby, propozycje (niekoniecznie realne) :

* MAXimator w aktualnej wersji super, brakuje USB - i mam wszystko do szczęścia, zamiast goldpinów wolę gotowe USB <==> UART
Chyba że o czymś nie wiem - proszę o korektę w stylu "poeta miał na myśli"

* może wersja Lite bez VGA zamiast tego kompatybilność pinowa z ARDUINO Mega 2560 itp., zalety więcej I/0, mamy już HDMI - wystarczy, zyskujemy część rynku drukarek 3D (rozwiązania typu ramps 1.4 na pohybel Merlinowi) cena 2/3

* Wersja Ultra light, tylko I/O(IPcore ~I2C, UART,...etc.) + ADC + DAC + Prog: mamy do ogarnięcia część rynku drony - Waga +1/3ceny !!! (sterowanie serwo odzyt IMU fitry Kalmana....), alternatywne źródła energii AZE.

Panie i Panowie - MAXimator to jest jak brakujące ogniwo w teorii Darwin"a
Ja czekałem na to > minimum 25 lat.

Awatar użytkownika
acid3
User
User
Posty: 466
Rejestracja: czwartek 03 wrz 2015, 22:42
Lokalizacja: Kłopoty-Stanisławy
Kontaktowanie:

Re: MAXimator (FPGA)

Postautor: acid3 » poniedziałek 19 gru 2016, 19:13

No i głupio wyszło ... :lol:
2016-11-10 19.50.20.jpg

.. a głosowałem, że mnie to nie interesuje
Nie masz wymaganych uprawnień, aby zobaczyć pliki załączone do tego posta.

WPX2
Posty: 2
Rejestracja: niedziela 15 sty 2017, 20:52

Re: MAXimator (FPGA)

Postautor: WPX2 » niedziela 15 sty 2017, 21:07

Właśnie stałem się posiadaczem MAXimatora.
Do FPGA fabrycznie załadowany program Demo - to miło.
Przeżyłem jednak chwilę niepokoju kiedy okazało się, że przycisk "R" nie działa (liczenie w dół).
Po analizie projektu (ściągniętego ze strony MAXimatora) jednak szybko okazało się, że jest błąd - brakuje negatora na wejściu bramki ustawiającej licznik na zliczanie w dół.
Po dodaniu negatora i zaprogramowaniu DZIAŁA :)

piotrva
Posty: 14
Rejestracja: poniedziałek 24 paź 2016, 23:39

Re: MAXimator (FPGA)

Postautor: piotrva » niedziela 30 kwie 2017, 19:36

dambo pisze:A ja mam taką ogólną prośbę - mógłby ktoś mniej więcej opisać jakie dane ( i które są dla nas ważne ) możemy wyciągnąć z "raportu" z syntezy? Trochę już rozpracowałem, ale pewnie wiele rzeczy mi umknęło i innym też przydałaby się taka wiedza.

Oprócz oczywistych rzeczy na stronie głównej raportu, ciekawsze rzeczy:
Analysis & Synthesis -> Resource Usilization by Entity - pokazuje ile zasobów zużywa każda jednostka projektowa
tamże -> RAM Summary - wykorzystanie pamięci ram wbudowanej w układ
Fitter -> Resource Section -> Resource Usage Summary oraz RAM Summary - informacje jak powyżej, ale dotyczące upakowania w konkretny układ.

Tu ważna uwaga - to że bitowo mieścimy się z pamięciami RAM (analysis & Synthesis), nie oznacza, że zmieścimy się pod względem bloków (Fitter).
W MAX10 pamięci ram (o ile nie każemy aby były syntezowane z użyciem Logical Elements) są typu M9K, są to pamięci 1024*9 bitów. Jak widzimy z raportów oprogramowanie czasem przekuwa szerokość słowa na szerokość szyny adresowej i odwrotnie. Czyli np. pamięć 4 bity z 1024 słowa zakoduje prawdopodobnie w jednym bloku M9K, ale "utracimy" 1024 bity pamięci.

A no i warto oglądać też Messages - szczególnie jak gdzieś widzimy "stuck at GND/VCC" - oznacza to że dany pin nie jest sterowany z logiki w żaden sposób - jeśli tego nie chcieliśmy to wyłapanie tych komunikatów może oszczędzić sporo czasu.

piotrva
Posty: 14
Rejestracja: poniedziałek 24 paź 2016, 23:39

Re: MAXimator (FPGA)

Postautor: piotrva » środa 01 lis 2017, 19:56

Co do Kart SD i interfejsu SDIO, polecam zapoznanie się z innymi płytkami "firmowymi" od Terasic'a oraz dokumentem:
ftp://ftp.altera.com/up/pub/University_ ... uilder.pdf

Opisuje on dostępny darmowo IP Core od Intel FPGA (Altera) IP Core wraz z driverami do obsługi karty SD. Układ połączeń na płytce maXimatora odpowiada zaleceniom z tego dokumentu i układowi połączeń z wymienionych w nim płytek testowych.

Ogólnie można by mieć sporo zastrzeżeń do maXimatora, a bo nie ma pamięci RAM, a bo ma mało pinów podłączonych bez użycia buforów itp.

Ale z drugiej strony trzeba zobaczyć na cenę zestawu (w której otrzymujemy też oryginalny zewnętrzny programator - nawet w zestawach Altery dostajemy tylko taki wbudowany, czyli nie możemy zaprogramować sobie swojego układu), fakt, że PCB jest 2 warstwowa celem ograniczenia ceny, itd. Płytka ta jest kompromisem między ceną a dostępnymi funkcjonalnościami i jak na świat FPGA jest to stosunkowo niedrogi zestaw, a dający sporo możliwości. Choćby obecność wyjścia HDMI i możliwość eksperymentów z tym interfejsem.


Wróć do „FPGA - MAXimator”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 6 gości