[FPGA] MAXIMATOR rejestr przesuwny

MAXimatora – jak zacząć, sprzęt, oprogramowanie, pierwszy projekt, następne zaawansowane projekty, kursy itp.
Awatar użytkownika
PROTON
Expert
Expert
Posty: 527
Rejestracja: czwartek 08 paź 2015, 18:35
Lokalizacja: Warszawa

[FPGA] MAXIMATOR rejestr przesuwny

Postautor: PROTON » sobota 09 kwie 2016, 22:31

Kolejny przykład, rejestr przesuwny na przerzutnikach D FF.
s_reg.png


Do wejścia D (pin B15) podłączony jest przycisk R, on steruje poziomem sygnału na wejściu pierwszego przerzutnika. Przycisk L (pin B16) jest podłączony do wejścia C_BTN, po przez debouncer wyzwala przerzutniki. Do wyjść zostały podpięte diody LED znajdujące się na płytce MAXimatora w celu zobrazowania działania rejestru.
Działanie układu, polega na przepisaniu przez każdy przerzutnik wartości sygnału z wejścia D na wyjście Q. Przepisanie sygnału wykonywane jest w momencie pojawienia się zbacza narastającego na wejściu wyzwalającym przerzutnik.

Aby przetestować załączony przykład należy wyzwalać rejestr przesuwny przyciskiem L, oraz przytrzymywać przycisk R, jeśli chcemy zapalić pierwszą diodę.
s_register.7z
Nie masz wymaganych uprawnień, aby zobaczyć pliki załączone do tego posta.
Gott weiß ich will kein Engel sein.

Wróć do „FPGA - MAXimator”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 6 gości